#PACE: Start of Constraints generated by PACE #PACE: Start of PACE I/O Pin Assignments NET "clk" LOC = "P7" | BUFG = CLK ; NET "din<0>" LOC = "P42" ; NET "din<1>" LOC = "P43" ; NET "din<2>" LOC = "P44" ; NET "din<3>" LOC = "P1" ; NET "din<4>" LOC = "P2" ; NET "din<5>" LOC = "P3" ; NET "din<6>" LOC = "P4" ; NET "din<7>" LOC = "P5" ; NET "dout<0>" LOC = "P11" | SLEW = SLOW ; NET "dout<1>" LOC = "P12" | SLEW = SLOW ; NET "dout<2>" LOC = "P13" | SLEW = SLOW ; NET "dout<3>" LOC = "P14" | SLEW = SLOW ; NET "dout<4>" LOC = "P18" | SLEW = SLOW ; NET "dout<5>" LOC = "P19" | SLEW = SLOW ; NET "dout<6>" LOC = "P20" | SLEW = SLOW ; NET "dout<7>" LOC = "P22" | SLEW = SLOW ; NET "RXD" LOC = "P35" ; NET "TXD" LOC = "P36" | SLEW = SLOW ; #PACE: Start of PACE Area Constraints #PACE: Start of PACE Prohibit Constraints #PACE: End of Constraints generated by PACE